Microsoft Developer Studio Workspace File, Format Version 6.00 # WARNING: DO NOT EDIT OR DELETE THIS WORKSPACE FILE! ############################################################################### Project: "logic"=..\..\..\..\..\code\nel\src\logic.dsp - Package Owner=<4> Package=<5> {{{ }}} Package=<4> {{{ }}} ############################################################################### Project: "logic_editor_dll"=.\logic_editor_dll.dsp - Package Owner=<4> Package=<5> {{{ }}} Package=<4> {{{ Begin Project Dependency Project_Dep_Name logic End Project Dependency Begin Project Dependency Project_Dep_Name misc End Project Dependency Begin Project Dependency Project_Dep_Name net End Project Dependency }}} ############################################################################### Project: "misc"=..\..\..\..\..\code\nel\src\misc.dsp - Package Owner=<4> Package=<5> {{{ }}} Package=<4> {{{ }}} ############################################################################### Project: "net"=..\..\..\..\..\code\nel\src\net.dsp - Package Owner=<4> Package=<5> {{{ }}} Package=<4> {{{ }}} ############################################################################### Global: Package=<5> {{{ }}} Package=<3> {{{ }}} ###############################################################################