Merge with develop

--HG--
branch : compatibility-develop
This commit is contained in:
Nimetu 2017-03-27 18:09:05 +03:00
commit 2939beddba

View file

@ -22,6 +22,7 @@
#include <memory> #include <memory>
#include <iterator> #include <iterator>
#include <limits>
#include "nel/misc/common.h" #include "nel/misc/common.h"
@ -61,7 +62,7 @@ struct TTimeSlice
uint32 EndDate; uint32 EndDate;
}; };
const TTimeSlice FullTimeSlice = {0, ~0}; const TTimeSlice FullTimeSlice = {0, std::numeric_limits<uint32>::max()};
/// Defile the complete selected time line /// Defile the complete selected time line
typedef std::vector<TTimeSlice> TTimeLine; typedef std::vector<TTimeSlice> TTimeLine;